1. Κατεβαζουμε από το eclass ή τον σύνδεσμο https://github.com/ghdl/ghdl/releases τον vhdl compiler https://github.com/ghdl/ghdl/releases/download/v5.1.1/ghdl-mcode-5.1.1-mingw64.zip Αν είμαστε σε MACκατεβάζουμε τo αρχειo για MAC OS απο τον συνδεσμο https://github.com/ghdl/ghdl/releases https://github.com/ghdl/ghdl/releases/download/v5.1.1/ghdl-llvm-5.1.1-macos14-aarch64.tar.gz 2. Κατεβαζουμε από το eclass ή τον συνδεσμο https://sourceforge.net/projects/gtkwave/files/gtkwave-3.3.90-bin-win64/gtkwave-3.3.90-bin-win64.zip/download την εφαρμογή για εποπτεία των κυματομορφών. gtkwave-3.3.90-bin-win64.zip Αν είμαστε σε MACκατεβάζουμε τo αρχειo για MAC OS απο τον συνδεσμο https://github.com/ghdl/ghdl/releases https://github.com/ghdl/ghdl/releases/download/v5.1.1/ghdl-llvm-5.1.1-macos14-aarch64.tar.gz 3. Αποσυμπιέζουμε τα αρχεια σε φακελους με τα αντίστοιχα ονοματα. 4. Από τις ρυθμίσεις -> συστημα -> πληροφορίες-> ρυθμισεις συστήματος για προχωρημένους->μεταβλητες περιβαλλοντος->path προσθέτουμε στο path τις θεσεις των δύο εφαρμογών πχ. C:\GHDL_GTKWAVE\GHDL\bin; c:\GHDL_GTKWAVE\gtkwave64\bin; 5. Κατεβάζουμε από το eclass το αρχείο ΠΑΡΑΔΕΙΓΜΑ_HEX_DISPLAY ΣΕ VHDL και το αποσυμπιέζουμε σε ένα φάκελο που Δημιουργούμε πχ. C:\VHDL_DEMO. 6. Μπορούμε να επεξεργαστούμε τα αρχεία με ένα επεξεργαστή κειμένου όπως το notepad++ 7. Aνοιγουμε ενα παράθυρο CMD και αλλάζουμε τον ενεργό φακελο στην θεση που εχουμε αποθηκευσει τα αρχεία vhdl πχ. cd C:\VHDL_DEMO 8. Μπορούμε να δούμε το περιεχόμενο του φακέλου με την εντολή dir 9. Προχωρούμε στην αναλυση των αρχείων vhdl χρησιμοποιώντας την εφαρμογή ghdl γραφοντας ghdl -a αρχεία (πχ. ghdl -a hex_disp.vhdl hex_disp_tb.vhdl) 10. Συνεχίζουμε με την επεξεργασία της οντότητας που θελουμε να δοκιμάσουμε γραφοντας ghdl -e οντότητα (πχ. ghdl -e hex_disp_tb) 11. Τρεχουμε τον κωδικα που εχουμε δημιουργήσει και αποθηκεύουμε τα αποτελέσματα της προσομοίωσης σε ενα ααρχείο τυπου .vcd ghdl -r οντοτητα --vcd=onomaarxeiou.vcd (πχ. ghdl -r hex_disp_tb --vcd=hex_disp_waves.vcd 12. Μπορούμε να δουμε τα αποτελέσματα της προσομοίωσης με την εφαρμογή gtkwave gtkwave onomaarxeiou.vcd (πχ. gtkwave hex_disp_waves.vcd